Hi
user
Admin Login:
Username:
Password:
Name:
BYOL (Build Your Own Linter) – UVMLint for IEEE-UVM core code development
--client
fossi
--show
latch_2024
--room b45r230 15381 --force
Next: (none, at end of list)
show more...
Marks
Author(s):
Ajeetha Kumari Venkatesan
Location
b45r230
Date
apr Sat 20
Days Raw Files
Start
14:40
First Raw Start
13:24
Duration
00:20:00
Offset
1:15:37
End
15:00
Last Raw End
14:24
Chapters
Total cuts_time
None min.
https://fossi-foundation.org/latch-up/2024
raw-playlist
raw-mp4-playlist
encoded-files-playlist
mp4
svg
png
assets
release.pdf
BYOL_Build_Your_Own_Linter_UVMLint_for_IEEEUVM_core_code_development.json
logs
Admin:
episode
episode list
cut list
raw files day
marks day
marks day
image_files
State:
---------
borked
edit
encode
push to queue
post
richard
review 1
email
review 2
make public
tweet
to-miror
conf
done
Locked:
clear this to unlock
Locked by:
user/process that locked.
Start:
initially scheduled time from master, adjusted to match reality
Duration:
length in hh:mm:ss
Name:
Video Title (shows in video search results)
Emails:
email(s) of the presenter(s)
Released:
Unknown
Yes
No
has someone authorised pubication
Normalise:
Channelcopy:
m=mono, 01=copy left to right, 10=right to left, 00=ignore.
Thumbnail:
filename.png
Description:
UVM is the most adopted design verification methodology in the field of ASIC and FPGA designs. Lint and static checking of code has proven to be very effective in projects that have a wide user base, longer lifetime, and distributed developers. During the recent UVM IEEE 1800.2-2023 release cycle, an observation was made regarding the potential application of a custom UVMLint solution to lint the UVM Base Class Library (BCL) as it gets developed, updated etc. We at AsFigo have developed custom rules for linting UVM BCL code on top of PySlint, an open-source SystemVerilog testbench linter. We intend to offer this as an opensource lint package to the UVM IEEE committee and to the general audience via public GitHub repository. The eventual goal is to have these rules as gatekeepers via GitHub actions so that any future code addition to the UVM BCL is free from common pitfalls. Based on early brainstorming, below are some of the sample lint rules that are relevant to the UVM BCL codebase: • Avoid race condition around "static const" (use localparam instead) • Avoid one-liner conditional statements: o if (cond) $display ("cond"); o if (cond) .. else $display ("Else cond as single line"); o case..endcase • Avoid one-liner code in loops: o for, repeat, while, do..while, foreach • Use enadlabels for elements such as endclass, endfunction, endtask etc. • Flag non-virtual methods Typical UVMLint rules for a VIP user would be quite different from the requirements of UVM BCL. These rules are tailored for UVM BCL codebase. As an example, a typical UVMLint rule for a VIP would be: • Check that agent is reusable by ensuring that active components are guarded with a conditional check to is_active == UVM_ACTIVE Such a rule is not quite useful for UVM BCL as it provides the base class library for tens of thousands of engineers around the globe using this library to build VIPs, verify their IPs, Systems etc. which ends up in your hardware using open-source initiatives. In this talk we show this cusotm approach for UVMLint development with sample Python code, tests, and results. A snapshot of UVMLint findings on latest IEEE 1800.2-2023 codebase using open source is below
markdown
Comment:
production notes
2024-04-20/13_24_23.ts
Apply:
13:24:23 - 13:25:59 ( 00:01:36 )
S:
13:24:23 -
E:
13:54:23
D:
00:30:00
(
End:
96.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=00.0 --audio-desync=0
Raw File
Cut List
13:24:23
seconds: 0.0
Wall: 13:24:23
Duration
00:30:00
13:54:23
seconds: 96.0
Wall: 13:25:59
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_24_23.ts
Apply:
13:25:59 - 13:45:34 ( 00:19:35 )
S:
13:24:23 -
E:
13:54:23
D:
00:30:00
(
Start:
96.0) (
End:
1271.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=096.0 --audio-desync=0
Raw File
Cut List
13:24:23
seconds: 96.0
Wall: 13:25:59
Duration
00:30:00
13:54:23
seconds: 1271.0
Wall: 13:45:34
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_24_23.ts
Apply:
13:45:34 - 13:50:39 ( 00:05:05 )
S:
13:24:23 -
E:
13:54:23
D:
00:30:00
(
Start:
1271.0) (
End:
1576.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01271.0 --audio-desync=0
Raw File
Cut List
13:24:23
seconds: 1271.0
Wall: 13:45:34
Duration
00:30:00
13:54:23
seconds: 1576.0
Wall: 13:50:39
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_24_23.ts
Apply:
13:50:39 - 13:52:06 ( 00:01:27 )
S:
13:24:23 -
E:
13:54:23
D:
00:30:00
(
Start:
1576.0) (
End:
1663.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01576.0 --audio-desync=0
Raw File
Cut List
13:24:23
seconds: 1576.0
Wall: 13:50:39
Duration
00:30:00
13:54:23
seconds: 1663.0
Wall: 13:52:06
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_24_23.ts
Apply:
13:52:06 - 13:54:23 ( 00:02:17 )
S:
13:24:23 -
E:
13:54:23
D:
00:30:00
(
Start:
1663.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01663.0 --audio-desync=0
Raw File
Cut List
13:24:23
seconds: 1663.0
Wall: 13:52:06
Duration
00:30:00
13:54:23
seconds: 0.0
Wall: 13:24:23
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_54_23.ts
Apply:
13:54:23 - 14:09:09 ( 00:14:46 )
S:
13:54:23 -
E:
14:24:23
D:
00:30:00
(
End:
886.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_54_23.ts :start-time=00.0 --audio-desync=0
Raw File
Cut List
13:54:23
seconds: 0.0
Wall: 13:54:23
Duration
00:30:00
14:24:23
seconds: 886.0
Wall: 14:09:09
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_54_23.ts
Apply:
14:09:09 - 14:12:37 ( 00:03:28 )
S:
13:54:23 -
E:
14:24:23
D:
00:30:00
(
Start:
886.0) (
End:
1094.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_54_23.ts :start-time=0886.0 --audio-desync=0
Raw File
Cut List
13:54:23
seconds: 886.0
Wall: 14:09:09
Duration
00:30:00
14:24:23
seconds: 1094.0
Wall: 14:12:37
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_54_23.ts
Apply:
14:12:37 - 14:13:41 ( 00:01:04 )
S:
13:54:23 -
E:
14:24:23
D:
00:30:00
(
Start:
1094.0) (
End:
1158.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_54_23.ts :start-time=01094.0 --audio-desync=0
Raw File
Cut List
13:54:23
seconds: 1094.0
Wall: 14:12:37
Duration
00:30:00
14:24:23
seconds: 1158.0
Wall: 14:13:41
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
2024-04-20/13_54_23.ts
Apply:
14:13:41 - 14:24:23 ( 00:10:42 )
S:
13:54:23 -
E:
14:24:23
D:
00:30:00
(
Start:
1158.0)
show more...
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_54_23.ts :start-time=01158.0 --audio-desync=0
Raw File
Cut List
13:54:23
seconds: 1158.0
Wall: 14:13:41
Duration
00:30:00
14:24:23
seconds: 0.0
Wall: 13:54:23
Comments:
mp4
mp4.m3u
dv.m3u
Split:
Sequence:
:
delete
Rf filename:
root is .../show/dv/location/, example: 2013-03-13/13:13:30.dv
Sequence:
get this:
check and save to add this
2024-04-20/13_24_23.ts
2024-04-20/13_54_23.ts
Veyepar
Video Eyeball Processor and Review