Fossi Foundation
Latch-Up 2019
1. Welcome () http://youtu.be/4xDgrAQ4JQU 7 min.
2. FOSSi Foundation Update () http://youtu.be/XvSLQCIwUTI 6 min.
3. Diagrams and system visualisation in chip design (Aliaksei Chapyzhenka) http://youtu.be/D-t3ftRH2XE 25 min.
4. Netlistsvg: How to Draw a Better Schematic than Graphviz (Neil Turley) http://youtu.be/zMqWzvXZ5k8 23 min.
5. Lessons learned customising the Rocket RISC-V core (Julius Baxter) http://youtu.be/iwYhGkL22X4 29 min.
6. Higher-Order Hardware Design with Chisel 3 (Jack Koenig) http://youtu.be/dbOi_Gboi_0 27 min.
7. The fusion of high-level synthesis with event-oriented hardware description (myhdl) (Christopher Felton) http://youtu.be/vN_5hItam9I 25 min.
8. JuxtaPiton: The First Open-Source, Heterogeneous-ISA Processor (Katie Lim) http://youtu.be/04ddg6Gf_UY 14 min.
9. OpenPiton+Ariane: Making Ariane Multicore with OpenPiton’s P-Mesh (Jonathan Balkind) http://youtu.be/n0oOUhDdXtc 31 min.
10. Lessons Learned from Open-Sourcing NVDLA (Joshua Wise) http://youtu.be/wGexwNYnkso 23 min.
11. DVKit: An Integrated Development Environment for Design and Verification Engineers (Matthew Ballance) http://youtu.be/9qP5aeLKCJI 19 min.
12. Live Graph infrastructure for Synthesis and Simulation (Jose Renau) http://youtu.be/f2ZugmaBd-w 26 min.
13. The Berkeley-Out-of-Order-Machine: An Open Source Synthesizable High-Performance RISC-V Processor (Jerry Zhao, Abe Gonzalez, Ben Korpan) http://youtu.be/WNf3Dq9wflQ 22 min.
14. FireSim: Open-Source Easy-to-use FPGA-Accelerated Cycle-Exact Hardware Simulation in the Cloud (David Biancolin, Alon Amid) http://youtu.be/aFiM27ce2kI 30 min.
15. How I started learning FPGA: My journey writing a GameBoy in Verilog (Wenting Zhang) http://youtu.be/wWD8g5P2cvk 26 min.
16. Emulation of vintage integrated circuits through die analysis and reverse-EDA (Cole Johnson) http://youtu.be/M3nFcTpAwoM 24 min.
17. Mingle, drinks () None 30 min.
18. BaseJump STL: a Standard Template Library for Hardware Design (Daniel Petrisko) http://youtu.be/7MsS3d81Wdw 22 min.
19. OSVVM, VHDL's #1 FPGA Verification Library (Jim Lewis) http://youtu.be/foN9PkNtRTs 30 min.
20. Verifying Open-Source Silicon with SystemVerilog: Getting in on the Ground Floor (Matthew Ballance) http://youtu.be/X-kC_WB9UfA 25 min.
21. RISC-V in Debian (Vagrant Cascadian) http://youtu.be/SGeOaklWhUU 38 min.
22. Lightning Talks () None 30 min.
23. Hermes-Lite: Amateur Radio SDR (Steve Haynal) http://youtu.be/qlgq52IIUo8 12 min.
24. Tim has too many projects - LatchUp Edition (Tim 'mithro' Ansell) http://youtu.be/v7WrTmexod0 27 min.
25. FuseSoC - Cores have never been so much fun (Olof Kindgren) http://youtu.be/7eWRAOK9mns 24 min.
26. DUH: document and tools for HW design reuse (Aliaksei Chapyzhenka) http://youtu.be/mxeqL2fbPzM 24 min.
27. Nyuzi: An Open Source GPGPU Processor (Jeff Bush) http://youtu.be/ZP8rmAZUIa4 25 min.
28. OpenRAM: An Open Source Memory Compiler (Matthew Guthaus) http://youtu.be/Kr3U2Nz-UIc 36 min.
29. SYZYGY: An Open Standard For Semiconductor Evaluation (Tom McLeod) None 30 min.
30. Open-Source FPGA tools, how and why? (Piotr Esden-Tempski) http://youtu.be/sZBfEgnTc1A 20 min.

1. http://youtu.be/4xDgrAQ4JQU 7 min.
2. http://youtu.be/XvSLQCIwUTI 6 min.
3. http://youtu.be/D-t3ftRH2XE 25 min.
4. http://youtu.be/zMqWzvXZ5k8 23 min.
5. http://youtu.be/iwYhGkL22X4 29 min.
6. http://youtu.be/dbOi_Gboi_0 27 min.
7. http://youtu.be/vN_5hItam9I 25 min.
8. http://youtu.be/04ddg6Gf_UY 14 min.
9. http://youtu.be/n0oOUhDdXtc 31 min.
10. http://youtu.be/wGexwNYnkso 23 min.
11. http://youtu.be/9qP5aeLKCJI 19 min.
12. http://youtu.be/f2ZugmaBd-w 26 min.
13. http://youtu.be/WNf3Dq9wflQ 22 min.
14. http://youtu.be/aFiM27ce2kI 30 min.
15. http://youtu.be/wWD8g5P2cvk 26 min.
16. http://youtu.be/M3nFcTpAwoM 24 min.
17. None 30 min.
18. http://youtu.be/7MsS3d81Wdw 22 min.
19. http://youtu.be/foN9PkNtRTs 30 min.
20. http://youtu.be/X-kC_WB9UfA 25 min.
21. http://youtu.be/SGeOaklWhUU 38 min.
22. None 30 min.
23. http://youtu.be/qlgq52IIUo8 12 min.
24. http://youtu.be/v7WrTmexod0 27 min.
25. http://youtu.be/7eWRAOK9mns 24 min.
26. http://youtu.be/mxeqL2fbPzM 24 min.
27. http://youtu.be/ZP8rmAZUIa4 25 min.
28. http://youtu.be/Kr3U2Nz-UIc 36 min.
29. None 30 min.
30. http://youtu.be/sZBfEgnTc1A 20 min.

1, Welcome, Welcome_11.mp4, 7
2, FOSSi Foundation Update, FOSSi_Foundation_Update.mp4, 6
3, Diagrams and system visualisation in chip design, Diagrams_and_system_visualisation_in_chip_design.mp4, 25
4, Netlistsvg: How to Draw a Better Schematic than Graphviz, Netlistsvg_How_to_Draw_a_Better_Schematic_than_Graphviz.mp4, 23
5, Lessons learned customising the Rocket RISC-V core, Lessons_learned_customising_the_Rocket_RISCV_core.mp4, 29
6, Higher-Order Hardware Design with Chisel 3, HigherOrder_Hardware_Design_with_Chisel_3.mp4, 27
7, The fusion of high-level synthesis with event-oriented hardware description (myhdl), The_fusion_of_highlevel_synthesis_with_eventoriented_hardware_description_myhdl.mp4, 25
8, JuxtaPiton: The First Open-Source, Heterogeneous-ISA Processor, JuxtaPiton_The_First_OpenSource_HeterogeneousISA_Processor.mp4, 14
9, OpenPiton+Ariane: Making Ariane Multicore with OpenPiton’s P-Mesh, OpenPitonAriane_Making_Ariane_Multicore_with_OpenPitons_PMesh.mp4, 31
10, Lessons Learned from Open-Sourcing NVDLA, Lessons_Learned_from_OpenSourcing_NVDLA.mp4, 23
11, DVKit: An Integrated Development Environment for Design and Verification Engineers, DVKit_An_Integrated_Development_Environment_for_Design_and_Verification_Engineers.mp4, 19
12, Live Graph infrastructure for Synthesis and Simulation, Live_Graph_infrastructure_for_Synthesis_and_Simulation.mp4, 26
13, The Berkeley-Out-of-Order-Machine: An Open Source Synthesizable High-Performance RISC-V Processor, The_BerkeleyOutofOrderMachine_An_Open_Source_Synthesizable_HighPerformance_RISCV_Processor.mp4, 22
14, FireSim: Open-Source Easy-to-use FPGA-Accelerated Cycle-Exact Hardware Simulation in the Cloud, FireSim_OpenSource_Easytouse_FPGAAccelerated_CycleExact_Hardware_Simulation_in_the_Cloud.mp4, 30
15, How I started learning FPGA: My journey writing a GameBoy in Verilog, How_I_started_learning_FPGA_My_journey_writing_a_GameBoy_in_Verilog.mp4, 26
16, Emulation of vintage integrated circuits through die analysis and reverse-EDA, Emulation_of_vintage_integrated_circuits_through_die_analysis_and_reverseEDA.mp4, 24
17, Mingle, drinks, Mingle_drinks.mp4, 30
18, BaseJump STL: a Standard Template Library for Hardware Design, BaseJump_STL_a_Standard_Template_Library_for_Hardware_Design.mp4, 22
19, OSVVM, VHDL's #1 FPGA Verification Library, OSVVM_VHDLs_1_FPGA_Verification_Library.mp4, 30
20, Verifying Open-Source Silicon with SystemVerilog: Getting in on the Ground Floor, Verifying_OpenSource_Silicon_with_SystemVerilog_Getting_in_on_the_Ground_Floor.mp4, 25
21, RISC-V in Debian, RISCV_in_Debian.mp4, 38
22, Lightning Talks , Lightning_Talks_29.mp4, 30
23, Hermes-Lite: Amateur Radio SDR, hermes_lite.mp4, 12
24, Tim has too many projects - LatchUp Edition, tim_has_many_projects_latchup_edition.mp4, 27
25, FuseSoC - Cores have never been so much fun, FuseSoC_Cores_have_never_been_so_much_fun.mp4, 24
26, DUH: document and tools for HW design reuse, DUH_document_and_tools_for_HW_design_reuse.mp4, 24
27, Nyuzi: An Open Source GPGPU Processor, Nyuzi_An_Open_Source_GPGPU_Processor.mp4, 25
28, OpenRAM: An Open Source Memory Compiler, OpenRAM_An_Open_Source_Memory_Compiler.mp4, 36
29, SYZYGY: An Open Standard For Semiconductor Evaluation, SYZYGY_An_Open_Standard_For_Semiconductor_Evaluation.mp4, 30
30, Open-Source FPGA tools, how and why?, OpenSource_FPGA_tools_how_and_why.mp4, 20