Hi user
Admin Login:
Name: ABC: The Way It Should Have Been Designed
--client fossi --show latch_2024 --room b45r230 15380 --force
Prev: 10 UMI: Universal Memory Interface
Next: 0 BYOL (Build Your Own Linter) – UVMLint for IEEE-UVM core code development
Author(s):Alan Mishchenko
Locationb45r230
Date apr Sat 20 Days Raw Files
Start14:20 First Raw Start12:54
Duration00:20:00 Offset1:25:37
End 14:40 Last Raw End 13:54
Chapters 00:00 0:19:35
Total cuts_time24 min.
https://fossi-foundation.org/latch-up/2024
raw-playlist raw-mp4-playlist encoded-files-playlist host archive mp4 svg png
final audio

assets release.pdf ABC_The_Way_It_Should_Have_Been_Designed.json
logs
Admin: episode episode list cut list raw files day marks day marks day image_files

clear this to unlock

user/process that locked.

initially scheduled time from master, adjusted to match reality

length in hh:mm:ss

Video Title (shows in video search results)

email(s) of the presenter(s)

has someone authorised pubication

m=mono, 01=copy left to right, 10=right to left, 00=ignore.

filename.png

markdown

production notes
2024-04-20/12_54_23.ts 12:54:23 - 13:24:22 ( 00:29:59 ) S: 12:54:23 - E: 13:24:22 D: 00:29:59
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/12_54_23.ts :start-time=00.0 --audio-desync=0
Raw File Cut List
12:54:23 seconds: 0.0
Wall: 12:54:23
Duration 00:29:59
13:24:22 seconds: 0.0
Wall: 12:54:23
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz
2024-04-20/13_24_23.ts 13:24:23 - 13:25:59 ( 00:01:36 ) S: 13:24:23 - E: 13:54:23 D: 00:30:00 (End: 96.0)
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=00.0 --audio-desync=0
Raw File Cut List
13:24:23 seconds: 0.0
Wall: 13:24:23
Duration 00:30:00
13:54:23 seconds: 96.0
Wall: 13:25:59
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz
2024-04-20/13_24_23.ts 13:25:59 - 13:45:34 ( 00:19:35 ) S: 13:24:23 - E: 13:54:23 D: 00:30:00 (Start: 96.0) (End: 1271.0)
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=096.0 --audio-desync=0
Raw File Cut List
13:24:23 seconds: 96.0
Wall: 13:25:59
Duration 00:30:00
13:54:23 seconds: 1271.0
Wall: 13:45:34
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz
2024-04-20/13_24_23.ts 13:45:34 - 13:50:39 ( 00:05:05 ) S: 13:24:23 - E: 13:54:23 D: 00:30:00 (Start: 1271.0) (End: 1576.0)
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01271.0 --audio-desync=0
Raw File Cut List
13:24:23 seconds: 1271.0
Wall: 13:45:34
Duration 00:30:00
13:54:23 seconds: 1576.0
Wall: 13:50:39
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz
2024-04-20/13_24_23.ts 13:50:39 - 13:52:06 ( 00:01:27 ) S: 13:24:23 - E: 13:54:23 D: 00:30:00 (Start: 1576.0) (End: 1663.0)
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01576.0 --audio-desync=0
Raw File Cut List
13:24:23 seconds: 1576.0
Wall: 13:50:39
Duration 00:30:00
13:54:23 seconds: 1663.0
Wall: 13:52:06
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz
2024-04-20/13_24_23.ts 13:52:06 - 13:54:23 ( 00:02:17 ) S: 13:24:23 - E: 13:54:23 D: 00:30:00 (Start: 1663.0)
vlc ~/Videos/veyepar/fossi/latch_2024/dv/b45r230/2024-04-20/13_24_23.ts :start-time=01663.0 --audio-desync=0
Raw File Cut List
13:24:23 seconds: 1663.0
Wall: 13:52:06
Duration 00:30:00
13:54:23 seconds: 0.0
Wall: 13:24:23
Comments:
mp4
mp4.m3u
dv.m3u : delete
audio viz

root is .../show/dv/location/, example: 2013-03-13/13:13:30.dv

check and save to add this

2024-04-20/12_54_23.ts
audio viz
2024-04-20/13_24_23.ts
audio viz

Veyepar Video Eyeball Processor and Review